proc_dff - extract flip-flops from processes

yosys> help proc_dff
proc_dff [selection]
This pass identifies flip-flops in the processes and converts them to
d-type flip-flop cells.