Synth commands

Packaged synth_* commands

The following is a list of all synth commands included in Yosys for different platforms. Each command runs a script of sub commands specific to the platform being targeted. Note that not all of these scripts are actively maintained and may not be up-to-date.

General synthesis

In addition to the above hardware-specific synth commands, there is also prep - generic synthesis script. This command is limited to coarse-grain synthesis, without getting into any architecture-specific mappings or optimizations. Among other things, this is useful for design verification.

The following commands are executed by the prep command:

begin:
    hierarchy -check [-top <top> | -auto-top]

coarse:
    proc [-ifx]
    flatten    (if -flatten)
    future
    opt_expr -keepdc
    opt_clean
    check
    opt -noff -keepdc
    wreduce -keepdc [-memx]
    memory_dff    (if -rdff)
    memory_memx    (if -memx)
    opt_clean
    memory_collect
    opt -noff -keepdc -fast

check:
    stat
    check

Synthesis starter covers most of these commands and what they do.